集成电路芯片半导体中英文对照术语词汇表「建议收藏」

集成电路芯片半导体中英文对照术语词汇表「建议收藏」英语 中文 1-9   10gigabit 10Gb 1stNyquistzone 第一奈奎斯特区域 3Dfull‑waveelectromagneticsolver 3D全波电磁解算器 3-state 三态 4thgenerationsegmentedrouting 第四代分层布线技术 5Gcommercialization 5G商用 7seriesFPGA 7系列FPG

大家好,又见面了,我是你们的朋友全栈君。如果您正在找激活码,请点击查看最新教程,关注关注公众号 “全栈程序员社区” 获取激活教程,可能之前旧版本教程已经失效.最新Idea2022.1教程亲测有效,一键激活。

Jetbrains全系列IDE使用 1年只要46元 售后保障 童叟无欺

英语 中文

1-9

 
10 gigabit 10 Gb
1st Nyquist zone 第一奈奎斯特区域
3D full‑wave electromagnetic solver 3D 全波电磁解算器
3-state 三态
4th generation segmented routing 第四代分层布线技术
5G commercialization 5G 商用
7 series FPGA 7 系列 FPGA

A

 
Absolute Maximum Rating 绝对最大额定值
academic 学术
accelerated flow 加速流程
acceleration 加速
accelerator 加速器
accelerator card 加速器卡
acceptance filter 验收过滤器
Access lounge 用户访问专区
Accessible 方便易用
accumulator 累加器
activation 激活
active clock edge 有效时钟沿
active cooling 主动散热
Active Cooling 主动散热
active I/O termination 有源 I/O 终端
active interconnect 有源互连 (Active Interconnect)
active partial reconfiguration 动态部分重配置
Active Power 有功功耗
Active State Power Manager (ASPM) 活动状态功耗管理器 (ASPM)
active-High 高电平有效
active-Low 低电平有效
adaptable 打造灵活
Adaptable Computing Acceleration Platform 自适应计算加速平台
adaptation time 调整时间
Adaptive Computing 自适应计算
adaptive delay 自适应延迟
adder 加法器
adder-chain 加法链
additional topics 其它内容
address 地址
address map 地址映射
Address Resolution Protocol (ARP) 地址解析协议 (ARP)
Adjacent channel leakage ratio 相邻信道泄漏比
adoption option 选购方案
Advanced Driver Assistance System 高级驾驶辅助系统 (ADAS)
Advanced Linux Sound Architecture (ALSA) 先进的 Linux 音频架构 (ALSA)
Advanced Peripheral Bus (APB) 高级外设总线 (APB)
advanced search 高级搜索
advanced silicon modular block 高级硅片组合模块
aerospace 航空航天
affinity 亲和性
aggregate 聚合
aggressor 干扰源
AI Engine AI 引擎
air flow|airflow 气流
alarm 告警
alert 警报
algorithm 算法
algorithmic design 算法设计
all static power 全静态功耗
alpha blending α 混合
Ambient Temperature 环境温度
American National Standards Institute 美国国家标准学会 (ANSI)
amplitude 振幅
Analog supply current 模拟供电电流
Analog supply voltage 模拟供电电压
analog-to-digital converter 模数转换器
analysis 分析
analyze 分析
anatomy 剖析
annotation 反标|注释
Answer Record 答复记录
anti-aliasing 抗混叠
anti-imaging 抗成像
anti-pad 反焊盘
Anti-Resonance 抗谐振
Anti-Tamper|anti-tamper (AT) 防篡改 (AT)
apertures 间隙
apparent sharpness 图像视觉清晰度
application 应用
application note 应用指南
Application processing Unit 应用处理单元
Application processing Unit (APU) 应用处理单元 (APU)
apply 应用
arbiter 仲裁器
arbitrator 仲裁器
architecture 架构
archive 存档
area 面积|区域|领域|逻辑资源
area efficiency 面积效率
argument 实参
Arm Trusted Firmware (ATF)|Arm Trusted Firmware Arm 可信固件 (ATF)
array 阵列|数组
artificial intelligence (AI) 人工智能 (AI)
assembly 装配
assert 有效|断言
assert block Assert 模块
assign 分配
assignment 赋值
associative property 结合律
asymmetric multi-processing (AMP) 非对称多核处理器 (AMP)
Asynchoronous Transfer Mode 异步传输模式 (ATM)
asynchronous 异步|异步的
Atomic Operation|Atomic Op 原子操作
Attachment Unit Interface 连接单元接口
Attenuation 衰减
attribute 属性
audio 音频
augment 增强
aultiply-add/subtract 乘累加/乘累减
authentication 验证
Authentication Certificate 身份验证证书
auto electronics 汽车电子
automatic bus width detection 自动总线宽度探测
Automatic Test Equipment (ATE) 自动测试设备 (ATM)
automotive 汽车
automotive temperature device 汽车级温度范围器件
auto-negotiation 自动协商
AUX power connector AUX 电源连接器
Avionics 航空电子
AXI coherency extension AXI 一致性扩展
AXI coherency extension (ACE) AXI 一致性扩展 (ACE)

B

 
Back Pressure 反压
backgrounder 背景资料
back-off  algorithm 退避算法
backplane 背板
backtick 反引号
bad frame 坏帧
ball fine pitch BGA 球栅精确栅距 (Ball Fine Pitch) BGA|Ball Fine Pitch BGA
ball fine pitch metal BGA – cavity down 球栅精确栅距金属 (Ball Fine Pitch Metal) BGA – Cavity Down|Ball Fine Pitch Metal BGA – Cavity Down
ball fine pitch thin BGA 球栅精确栅距薄型 (Ball Fine Pitch Thin) BGA|Ball Fine Pitch Thin BGA
ball grid array (BGA) 球形栅格阵列 (BGA)
ball metal BGA – cavity down 球栅金属 (Ball Metal) BGA – Cavity Down|Ball Metal BGA – Cavity Down
ball plastic BGA 球栅塑料 (Ball Plastic) BGA|Ball Plastic BGA
Balun 平衡转换器
bandwidth 带宽
bank bank
banking bank 分配
bare-metal|bare metal 裸机
base address 基址
base board 基础板
base logic partition 基本逻辑分区
Base System Builder Wizard Base System Builder 向导
baseband 基带
baseline 基线
batch operation 批处理
battery 电池
battery backup 备份电池
battery life estimate 电池寿命估算
battery-backed RAM 电池供电式 RAM
baud rate 波特率
beaconing 信标
beamforming 波束成形
behavior simulation 行为仿真
behavioral level 行为级
Bell Labs Layered Space Time 贝尔实验室分层空时 (BLAST)
Bellagio OpenMAX Integration Layer (OMX IL) Bellagio OpenMAX 集成层 (OMX IL)
benchmark 标准测试 (Benchmark)|标准测试
BER 误码率
best design practice 最佳设计实践
Best Practice 最佳实践
bias 偏差
bidirectional|bi-directional 双向
bifurcation 分叉
big endian 大字节序
Big Endian 大字节序
bin 二进制
bit 比特|位
bit depth 位深度
bit error 位(元)错误
bit-error rate tester 误码率测试器
bit-reverse algorithm 位反转算法
bitstream 比特流
bitwise logical functionality 按位逻辑功能
black key 黑密钥
blade connectivity 刀片连接功能
blind via 盲孔
block
block automation 块自动化设置
block diagram 原理图|模块框图
block memory 块存储器
block RAM 块 RAM
blocking 阻塞
blocking event 阻塞事件
board 电路板|开发板
board schematic 电路板原理图
board support package 板级支持包
Boards and Kits 开发板与套件
bond line thickness 粘合层厚度
bookkeeping data 簿记数据
boolean 布尔
boot 启动
boot image 启动镜像
boot image 启动镜像
boot loader 启动加载程序
boot sequence 启动顺序
bootloader 启动加载程序
bottleneck 瓶颈
Boundary Scan Cell Diagram 边界扫描单元原理图
boundary-scan mode 边界扫描模式
boundary-scan|boundary scan 边界扫描
bounding box 边界框
bracket 支架
BRAM 块 RAM|BRAM
branch 分支
breadth-first search 广度优先搜索
breakout 分接
breakpoint 断点
bring-up 初始化
broadband 宽带
broadband access 宽带接入
broadband fixed 固定宽带
broadcast 广播
broadcast address 广播地址
Broadcast Comm 广播通信
Broadcast Connectivity Kit 广播连接功能套件
brochure 宣传册
browse 浏览
browser 浏览器
bubble sort 冒泡排序
buffer n. 缓冲器|v. 缓冲
buffer 缓冲区
buffered crossbar switch 缓冲式纵横交换机
bug 漏洞
build 构建
building block 构建模块
built-in Error Checking and Correction (ECC) 内置式纠错检 (ECC) 功能
bundle 捆绑
burst 突发
burst size 突发量
bus 总线
Bus Functional Model 总线功能模型
bus functional model 总线功能模型
bus interface 总线接口
bus-width detection pattern 总线宽度探测码形|总线宽度检测位
Bypass 旁路
byte 字节
byte- and word-wide configurations 单字节及多字节宽度配置
Byte Memory Planner 字节存储计划器

C

 
cable 电缆
cache 高速缓存
Cache Coherent Interconnect 高速缓存一致性互连
Cache Coherent Interconnect for Accelerators 加速器缓存一致性互连
cache flush 缓存刷新
cache hit 缓存命中
cage 外壳
calibration 校准
cancel 取消
capacitance 电容
capacitor 电容器
capture edge 捕获沿
carousel 数据轮播
carrier card 载卡
Carrier Class Ethernet Services 电信级以太网业务
carrier signal 载波信号
carry chain 进位链
carry logic 进位逻辑
carry propagation 进位传递
cascadable 可级联的
cascade 级联
cascade connection 级联连接
cascade-integrator-comb 级联积分梳状 (CIC)
cell 单元
cell bloating 单元膨胀
cellular network 蜂窝网络
ceramic BGA 陶瓷 (Ceramic) BGA|Ceramic BGA
ceramic Brazed CQFP 陶瓷铜焊 (Ceramic Brazed) CQFP|Ceramic Brazed CQFP
ceramic DIP 陶瓷 (Ceramic) DIP|Ceramic DIP
Ceramic Packaging and Pinout Specifications 陶瓷封装和管脚规范
ceramic PGA 陶瓷 (Ceramic) PGA|Ceramic PGA
ceramic quad 陶瓷方形 (Ceramic Quad)|Ceramic Quad
Ceramic Quad Flat Package (CQFP) 陶瓷四侧引脚扁平封装 (CQFP)
certification 认证
Change Notification 变更通知
change without risk 无风险设计修改
channel 通道|信道
channel bonding 通道绑定
channel matrix inversion 信道矩阵求逆
channel reordering 信道重新排序
characteristic impedance 特性阻抗
characterization 特性
characterization data 特性描述数据
Characterization Kit 特性描述套件
Characterization Kit 特性描述套件
check box 复选框
checklist 检查表
checkpoint 检查点
checkpoint verification 检查点验证
checksum offloading 卸载校验和|卸载校验和运算
cheksum 校验和
Chinese (Simplified) 简体中文
chip 芯片
chroma keying 色度键控
cipher block chaining 密码分组链接
circuit 电路
Circuitry 电路
circular buffer 圆形缓冲器
clamp diode 钳位二极管
clamshell 蛤壳
click 单击
clock 时钟
clock buffer 时钟缓存
clock capable 能够当作时钟信号
clock crossing 跨时钟
clock cycle 时钟周期
clock domain 时钟域
clock domain crossing|clock-domain-crossing 时钟域交汇
clock edge 时钟沿
clock enable 时钟使能
clock frequency 时钟频率
clock gating 时钟门控
clock input divide 时钟输入分频
clock interaction 时钟相关性
Clock Management 时钟管理|时钟控制
Clock Management Tile 时钟管理模块
clock net 时钟信号线
clock net 时钟信号线
clock network 时钟网络
clock network 时钟网络
clock pessimism removal (CPR) 时钟消极因素移除 (CPR)
clock phase 时钟相位
clock planning 时钟规划
clock polarity 时钟极性
clock rate 时钟速率
clock region 时钟区域
clock root 时钟根
clock sense 时钟敏感
Clock Spine 时钟轴
Clock throttling 时钟降频
clock topology 时钟拓扑
clock tree 时钟树
clocking 时钟|时钟设置
clock-phase shifting 时钟相位偏移
Cloud
CNN pruning CNN 剪枝
cockpit 考核中心
code 代码|码|编码
code parameter 码参数
code snippet 代码片段
code word|codeword 代码字|码字
co-debug 协同调试
codec 编解码器
coding 编码
coefficient 系数
coherency 一致性
Coherent Hub Interface 一致性集线器接口
coherent mesh network 一致性网状网络
collision-avoidance algorithm 避撞算法
color space 色彩空间
command 命令
command-line 命令行
comma-separated value 逗号分隔值
Commodity SPI 商用 SPI
Common-mode rejection ratio 共膜抑制比
common-mode voltage 共模电压
communication 通信
communication link 通信链路
Community Relations 社区关系
commutative property 交换律
Company Fact Sheet 公司情况说明
comparator 比较器
compatibility 兼容性
compilation 编译
compile 编译
compile order list 编译次序列表
compiler 编译器
complementer 补码器
complex 复数
complex conjugate number 共轭复数
complex exponential 复指数
compliant 标准
component 组件
composable data center 可组合式数据中心
Composable Hardware 硬件可组合式
composite signal 复合信号
compression 压缩
computational storage 计算存储
computationally intensive 计算密集型
compute 计算
compute intensive 计算密集型
compute unit 计算单元
computer 计算机
computer peripheral 计算机外设
concatenate path 连接通路
concurrent assertion 并发断言
concurrent processing 并发处理
conduction-cooled 传导式散热
cone
confidence 可信度
confidence level 信心级别
config 配置
configurable logic block 可配置逻辑块
configuration 配置|设置
Configuration Status Register (CSR) 配置状态寄存器 (CSR)
configuration storage device 配置存储器件
configure 配置|设置
conformal LEC 保形 LEC
congestion 拥塞
connection 联通|连接
connection automation 自动连接
connectivity 连接
Connectivity Card 连接功能卡
Connectivity Card 连接功能卡
Connectivity Kit 连接功能套件
Connectivity Kit 连接功能套件
connector 连接器
consideration 考虑因素
constant 常量|常数
constant 恒定
constraint 约束
constraint randomization 约束随机化
constraint set 约束集
Constraints Guide 约束指南
construct 构建|结构
constructor 构造函数
consumer 消费类|消费者
consumer function 使用者函数
container 容器
contention 争用
Contention 争用
context 上下文
control line 控制线路
controlled impedance 受控阻抗
controlled slew rate 可控转换速率
controller 控制器
convergent rounding 收敛的(无偏)舍入
conversion specifier 转换说明符
conversion-free 免转换
converter 转换器
conveyer belt 输送带
convolutional interleaver 卷积交织
co-processing 协处理
core
core inserter 核插入器
correct-by-construction 自动建构校正
correlator 相关器
co-simulation 协同仿真
cost function 成本函数
cost-optimized 成本优化
counter 计数器
Course Description 课程介绍
course schedule 课程安排
coverage 覆盖率
Create Import Peripheral 创建和导入外设 (CIP)
criteria 标准
critical path 关键路径
cross probe|cross-probe 交叉探测
cross probing|cross-probing 交叉探测
cross triggering 交叉触发
crossbar 交叉开关矩阵
cross-compiled 交叉编译
crossing 跨|交汇
crosspoint 交叉点
crosspoint switch 交叉点交换机
Crosstalk 串扰
crystal oscillator 晶体振荡器|晶振
crystal resonator 晶体谐振器
current draw 电流汲取
Curriculum Path 课程路径
custom 定制
custom IP development 定制 IP 开发
customer 客户
customer case study 客户案例研究
Customer Notice 客户通知
customer notification 客户通知
customize 自定义
cycle 周期|循环
cyclic prefix insertion 周期前缀插入
Cyclic Redundancy Check 循环冗余校验

D

 
data 数据
data beat 数据节拍
data bit location 数据比特位置
Data Cable 数据线缆
Data Cable 数据线缆
data center 数据中心
data center 数据中心
data communication 数据通信
data flow 数据流
data hungry 需要大量数据的
data line 数据线
data mask 数据掩码
data path|datapath 数据路径
data preamble 数据前同步码
data regeneration controller 数据再生控制器
data sheet 数据手册
data sheet|datasheet 数据手册
data starvation 数据不足
database 数据库
data-bus turnaround penalty 数据总线转换损耗
dataflow 数据流
datapath width 数据路径宽度
Daughter Card 子卡
Daughter Card 子卡
DC and AC Switching Characteristic DC 和 AC 开关特性
DC and Switching Characteristics 直流及开关特性
DC blocking capacitor 隔直电容
deassert|de-assert 无效|解除有效
deblocker 去块效应
deblocking filter 去块滤波器
debug 调试
Debug Card 调试卡
debug channel 调试通道
debug core 调试核
debugger 调试器
debugging 调试
decimal radix 十进制基数
decimating filter 抽取滤波器
decimation 抽取
decision feedback equalization 判定反馈均衡
decoder 解码器
decompose 分解
decomposition 分解
decoupling capacitor 去耦电容
decryption 解密
dedicated fallback  reconfiguration logic 专用的回读重配置逻辑
deep 深|深度
Deep-learning Processing Unit (DPU) 深度学习处理器 (DPU)
Defect Detection 缺陷检测
defense 国防
defense-grade 军用级
definition 定义
degrade 劣化
deinterleaver 去交织器
delay 延迟
delay interval 延迟间隔
Delay Locked Loop (DLL) 延迟锁相环 (DLL)
delineation 界定
demanding signal-processing 高强度信号处理
demapper 解映射器
demo 演示
demoboard|demo board 演示板
demodulator 解调器
de-mosaic 解拼
density 器件密度|容量
dependency 相依性
dependency property 相关属性
deployment 部署
depth 深|深度
de-puncturing 去穿孔
derandomizer 解随机函数发生器
descrambler 解扰器
description 描述|说明
deserializer 解串器
design 设计
Design Advisory 设计咨询
design automation 设计自动化
design closure 设计收敛
design closure 设计收敛
design cycle 设计周期
design dynamic power 设计动态功耗
design entry 设计输入
design environment 设计环境
design flow 设计流程
design hierarchy 设计层
Design Hub 设计中心
Design Kit 设计套件
design margin 设计余量
design methodology 设计方法
Design Methodology Checklist 设计方法检查表
design preservation 设计保存
design process 设计进程
design reuse 设计复用
design rule check 设计规则检查
designer 设计师
Designer Assistance 设计辅助
Designer Assistent 设计辅助
designer automation 设计自动化
destructor 析构函数
deterministic data 确定性数据
developer 开发者
development 开发
development board|Development Board 开发板
development cycle 开发周期
development environment 开发环境
Development Kit 开发套件
development package 开发包
device 器件
device configuration 器件配置
device cost 器件成本
device family 器件系列
device manager 器件管理器
device packaging 器件封装
device power down 器件省电模式
device tree 设备树
device tree blobs 设备树二进制对象 (dtb)
diagonal cell 对角线单元
diagonal interconnect 对角互连
dialog box 对话框
die 裸片
die size 裸片尺寸
die-attach 裸片粘结
Dielectric 电介质|介电
Dielectric Loss 介电损耗
dielectric material 电介质材质
die-to-die 裸片之间的
die-to-die bandwidth 裸片之间的带宽
differential clock 差分时钟
Differential nonlinearity 差分非线性
differential pair 差分(信号)对
Differential Power Analysis (DPA) attack 差分功耗分析 (DPA) 攻击
differential swing control 差分摆幅控制
Digital Clock Manager 数字时钟管理器
Digital down converter 数字下变频器
digital downconverter 数字下变频器
Digital Pre-Distortion (DPD) 数字预失真 (DPD)
digital radio system 数字无线电系统
Digital Rights Management (DRM) 数字版权管理 (DRM)
Digital Signal Processing (DSP) DSP|Digital Signal Processing (DSP)|数字信号处理 (DSP)
Digital Step 数字步进
Digital supply voltage 数字供电电压
digital TV 数字电视
digital up converter 数字上变频器
Digital Video Technology (DVT) 数字视频技术 (DVT)
digitally controlled impedance 数控阻抗
direct form FIR filter 直接型 FIR 滤波器
Direct Memory Access (DMA) 直接存储器访问 (DMA)
direct RF platform 直接 RF 采样平台
directive 指令|指示
directory 目录
disable 禁用
discrete 离散
Discrete Jitter 离散抖动
dissipation factor 损耗因子
dissolve 消隐
distortion 失真
distributed LUT RAM option 分布式 LUT RAM 选项
distributed RAM 分布式 RAM
distribution 分布
distributor 分销商|分布器
divided clock 分频时钟
dividend 被除数
divider 除法器
division ratio 分频比
divisor 除数
documentation 文档
domain
Domain-Specific Architectures (DSAs) 领域专用架构 (DSA)
Domain-Specific Architectures (DSAs) 领域特定架构 (DSA)
Donation Request 捐赠请求
dongle 硬件保护装置
doping 掺杂
Dot Product 点积
Double In-line Package (DIP) 双列直插式封装 (DIP)
double-bit error detection 双比特错误检测
double-click 双击
double-data-rate 双倍数据速率
double-width 双宽度
downgrade 降级
download 下载
downsampling FIR filter 降采样 FIR 滤波器
drain 漏极
drive 驱动
drive strength 驱动强度
driver 驱动
DSP processor DSP 处理器
DSP prototyping hardware DSP 原型硬件
dual inline memory module 双列直插式内存模块
Dual RAM 双口 RAM
dual role device (DRD) 双作用器件 (DRD)
dual-link HD-SDI 双链路 HD-SDI
dual-port 双端口
duty cycle 占空比
dynamic polymorphism 动态多态性
dynamic power 动态功耗
Dynamic Power Management 动态功耗管理
Dynamic Reconfiguration Port 动态重配置端口

E

 
early access 早期访问|抢先体验
echo server 响应服务器
Edge
Edge  Resident Apps 用户端应用
edge detection 边缘检测
edge-sensitive 边沿敏感
edge-triggered 边缘触发
edit 编辑
effort level 工作量
elaborate 细化
elaboration 细化
elapsed time 运行时间
e-learning 在线学习
electrical characteristic 电气参数
electrical length 电气长度
electrolytic capacitor 电解电容
element 要素|元素
element 元件
embedded 嵌入式
embedded board|Embedded Board 嵌入式开发板
Embedded Development Kit (EDK) 嵌入式开发套件 (EDK)
Embedded Kit 嵌入式套件
Embedded Kit 嵌入式套件
embedded processing 嵌入式处理
embedded processor 嵌入式处理器
embedded software design 嵌入式软件设计
embedded system development 嵌入式系统开发
Emerging Standards & Protocols (eSP) 新兴标准和协议 (eSP)
emulation 仿真
enable 启用|使能
enclosure 机箱
encoder 编码器
encoding scheme 编码机制
encryption 加密
Endianness 字节序
endpoint 端点
endpoint layer 端点层
engine 引擎
Engineering Change Order (ECO) 工程变更单 (ECO)
entity 实体
enumerate 枚举
equation 方程|公式
equivalency checking formal verification 等效校验形式验证
errata 勘误表
error 错误
error correction 纠错
error correction checking 纠错检查
Error Correction Code 错误检查和纠正
error correction code 纠错码
Error Correction Code (ECC) 错误检查和纠正 (ECC)
error-checking code 错误检测码
error-correcting code 错误检查和纠正
error-correcting code (ECC) 错误检查和纠正 (ECC)
estimation 估算
ethernet 以太网
Ethernet Media Access Controller (MAC) 以太网媒体访问控制器 (MAC)
Euclidian distance (PED) 欧氏距离
evaluation board|Evaluation Board 评估板
Evaluation Card 评估卡
Evaluation Card 评估卡
Evaluation Kit 评估套件
evaluation kit|Evaluation Kit 评估板
even-odd jitter 奇偶抖动
exception 异常|例外
exception handling 异常处理
exception level 异常级别
excessive latency 时延过大
export 导出
express traffic 高速流量
extended memory 扩展存储器
extended temperature device 扩展级温度范围器件
extensible processing platform 可扩展处理平台
Extra Packages for Enterprise Linux (EPEL) Linux 附加安装包 (EPEL)
Extra Packages for Enterprise Linux (EPEL) 企业级 Linux 附加安装包 (EPEL)

F

 
fabless 无工厂
fabric 结构
fabric channel 光纤通道
fabric clock 架构时钟
fact sheet 详细情况
fail-fast 快速失败
failing endpoint 故障端点
Fallback 回退
Fallback corruption 回退损坏
falling edge 下降沿
false path 伪路径
family key 族密钥
fanout 扇出
fast carry look-ahead chain 高速超前进位链
Fast Simplex Link 快速单工链路
Fast timing corner 快速时序角
feature 特点|功能|特性
feedback 反馈
feed-forward structure 前馈结构
feedthrough 馈通
Ferrite bead 铁氧体磁珠
Fibre channel 光纤通道
Field 现场
field 领域|字段
Field Programmable Controller 现场可编程控制器
field solver 场解算器
Field Upgradable System 现场可升级系统
file 文件
filter 筛选|滤波器|筛选工具
filtering 滤波
filtering application 滤波应用
filtering stage 滤波级
fine grain 高精度
fine granularity 细粒度
fine pitch 精确栅距
fine-grained I/O banking 高精度 I/O 分组
fine-grained increments of delay 精细延迟增量
fine-grained phase shifting resolution 精细相移分辩率
finite impulse response (FIR) 有限脉冲响应
Finite State Machine 有限状态机
firewall trip 防火墙脱扣
firmware 固件
first-stage boot loader (FSBL)|first-stage boot loader 第一阶段启动加载程序 (FSBL)
fitting report 适配报告
fixed-point 定点
fixed-rate mode 固定速率模式
flash 闪存
flash 烧写
flash memory 闪存
flight delay 飞行延迟
flip-chip package Flip-chip 封装
flip-flop 触发器
floating license 浮动许可证
floating point 浮点
floating point number 浮点数
Floating Point Unit 浮点单元 (FPU)
floorplan 布局规划
floorplanning 布局规划
flow 流程
Flow Control 流量控制
flush 刷新
folder 文件夹
footprint 占板面积
footprint compatible 管脚兼容
fork 复刻
form factor 外形尺寸
format 格式
format converter 格式转换器
forward bias 正向偏置
Forward Error Correction (FEC) codecs 前向纠错 (FEC) 编解码器
forwarded clock 前向时钟
foundational platform 基础平台
fragment 片段
fragment frame 碎片帧
frame
Frame Check Sequence 帧校验序列
frame relay 帧中继
frame relay access device 帧中继接入设备
Frame Straddle 跨帧
frame-mapped 帧映射
framework 框架
free running|free-running 自由运行
frequency 频率
frequency domain 频域
fringing capacitance 边缘电容
front-to-back RTL design environment front-to-back RTL 设计环境
full precision 全精度
full rate 全速率
full-power domain (FPD)|full power docmain 全功耗域 (FPD)
full-power domain|full power docmain 全功耗域
Full-scale Input 满量程输入
Fully Digital Delay Locked Loop (DLL) 完全数字锁定环 (DLL)
function 功能|函数
function body 函数体
function call 函数调用
function inlining 函数内联
function signature 函数特征符
functional block 功能块
functional coverage 功能覆盖率
functional simulation 功能仿真

G

 
gate 门电路
gate-level 门级
gating 门控
gear box 变速箱
General Purpose I/O (GPIO) 通用 I/O (GPIO)
generate 生成
generated clock 生成时钟
generation 生成
generic 参数
geometry processor 几何处理器
Getting Started Guide 入门指南
Gigabit Ethernet WAN 千兆位以太网 WAN
Gigabit Ethernet|gigabit ethernet 千兆以太网
Gigabit Media Independent Interface (GMII) 千兆位媒体独立接口 (GMII)
Givens rotation 吉文斯旋转
glitch 毛刺
global 全局的
Global System Memory Map 全局系统内存映射
global-clock multiplexer buffer 全局时钟多路复用缓冲器
graphic 图形
graphical user interface 图形用户界面
graphics processing unit (GPU) 图形处理单元 (GPU)
gray-coded bus transfer 格雷编码总线传输
gray-coding 格雷编码
ground pad 接地焊盘
ground plane 接地层
ground stitch 接地拼接
GT Quad GT 四通道
guard trace 防护线
guideline 指导|指南

H

 
H.264 Motion Estimation Engine H.264 运动估计引擎
half-adder 半加器
half-band filter 半带滤波器
hand-off 交接
hands on experience 实际操作经验
hang 挂起
Haptic feedback 触觉反馈
Hard Memory Controller 硬件内存控制器
hard-decision detection 硬判决检测
hardened 硬化的
hardware 硬件
Hardware Description File (HDF) 硬件描述文件 (HDF)
Hardware Handoff File (HWH) 硬件交接文件 (HWH)
Hardware Manager 硬件管理器
hardware verification 硬件验证
hardware-software trade-off boundary 软硬件划分界线
harmonic 谐波
harmonic distortion 谐波失真
HDL parser HDL 语法分析器
HDTV 高清电视
head of line blocking 队头阻塞
header 报头|头文件
header expansion card 插头扩展卡
Heat Sink 散热片
Heat Sink PQFP (HQ) 散热型 PQFP (HQ)
heatsink 散热片
heterogeneous 异构
hexadecimal 十六进制
hibernate mode 休眠模式
hierarchical design 层级设计
hierarchical floorplanning 层次化布局规划
hierarchy 层级
high
high address 高地址
high connectivity 高速连接
high density transcode 高密度转码
high level language 高级语言
High Performance Computing 高性能计算
high performance processing 高性能处理
high signal-integrity flip-chip packaging 高信号完整性 Flip-chip 封装
high speed I/O 高速 I/O
high speed serial 高速串行
high time 高电平时间
high volume 大容量
high-bandwidth memory 高带宽存储器
High-K 高介电
high-level synthesis (HLS)|high level synthesis (HLS) 高层次综合 (HLS)
high-level synthesis|high level synthesis 高层次综合
highlight 主要特色
high-reliability 高可靠性
high-speed 高速
high-speed connectivity 高速连接
high-κ metal gate (HKMG) 高介电层/金属闸
histogram 直方图
hold 保持
Home 主页
hook mode|Hook mode 钩子模式
hop 中间连线
host 主机
host machine 主机
human readable 人工可读
Hybrid memory cube 混合内存立方体
hyper-parameter 超参数
HyperTransport Consortium HyperTransport 联盟
hypervisor 虚拟机管理器

I

 
I/F 接口
I/O I/O
I/O bank I/O bank
I/O coupling effect I/O 耦合效应
I/O logic I/O 逻辑
I/O operation I/O 操作
I/O pin planning I/O 管脚分配
I/O planning I/O 管脚分配
I/O routing breakout I/O 布线分支点
I/O signal I/O 信号
I/O standard I/O 标准
icon 图标
image 图像|镜像
image edge enhancement 图像边缘增强
image noise reduction 图像降噪
image processing pipeline 图像处理流水线
image statistics engine 图像统计引擎
immediate data 即时数据
impedance 阻抗
implement 实现|执行
implementation 实现
import 导入
incremental 增量
incremental design 增量设计
incremental synthesis 增量综合
inductance 电感
inductive crosstalk 感性串扰
industrial 工业类
industrial 工业
industrial temperature device 工业级温度范围器件
industry standard 业界标准
infer 引用
inference 推断
Information Assurance (IA) 信息保证 (AI)
initialization 初始化
initiation interval 启动时间间隔
inline 内联
input 输入
input referred noise 输入参考噪声
input termination 输入端接
insertion loss 插入损耗
inside out column 专栏
install 安装
Installation Guide 安装指南
installation package 安装包
instance 实例
instance name 实例名
instantiate 例化
instantiated core 例化的核
instantiation 例化
in-stream 流内
instruction 说明
integar 整数
integer factor 整数倍
integer performance 整数运算性能
integer value 整数值
Integral nonlinearity 积分非线性
integrated block 集成块
intellectual property 知识产权
intelligent 万物智能
Inter Processor Interrupt (IPI) 处理器间中断 (IPI)
interact 交互
interconnect 互连|互联
interface 接口|连接
inter-frame gap 帧间间隔
interleaver 交织器
intermediate feature map 中间特征图
intermodulation distortion|inter-modulation distortion 互调失真
Internet 互联网
Internet reconfigurable logic 网络可重配置逻辑
interpolation 内插
interposer 中介层
interposer structure 插入式结构
Inter-Processor Interrupt (IPI) 处理器间中断 (IPI)
Inter-Processor Interrupts (IPIs) 处理器间中断  (IPIs)
interrupt 中断
Interrupt Disable Register 中断禁用寄存器
Interrupt Enable Register 中断使能寄存器
Interrupt Service Routine (ISR) 中断服务例程 (ISR)
intersperse 穿插
intrinsic call 内部调用
intrinsic capacitance 固有电容
invalid 无效的
inventory risk 库存风险
inverted clock pin 反时钟引脚
inverted logic 逻辑取反
inverted waveform 波形反向
inverter 反相器
investor relation 投资商关系
IO I/O
IP catalog IP 目录
IP Center IP 中心
IP core IP 核
IP output product IP 输出文件
IP packager IP 封装器
IP Sources IP 源文件
IP subsystem IP 子系统
IP-Immersion IP 植入 (IP-Immersion)
Isochronous 常时等量
Isolation Design Flow (IDF) 隔离设计流程 (IDF)
iteration 迭代
Iterative path 重复通路

J

 
jitter 抖动
JTAG cable JTAG 线缆
Jumbo Frame 巨型帧
jump-start 启动
junction temparature 结温

K

 
kernel 内核
key 密钥
keyboard shortcut 快捷键
Known Issue 已知问题

L

 
lab tool 实验工具
lamination 层压
Land pad 连接盘焊盘
lane 通道
language template 语言模板
large-swing 大摆幅
latch 锁存 (v)|锁存器 (n)
latency 时延
launch 启动
launch edge 发送沿
layout 布局
leading-edge 领先
leaf cell 叶节点单元
leaf module 支叶模块
leakage current 漏电流
leakage power 漏电功耗
least significant bit 最低有效位
level-sensitive 电平敏感
library
license 许可证
Lightening Data Transport 闪电数据传输 (Lightening Data Transport)
line comment 行注释
line impedance 线路阻抗
line rate|line-rate 线速率
Linear Regulator 线性调节器
link 链接|连接
link partner 链路伙伴
Link Training 链路训练
linker 连接器
Linux kernel Linux 内核
listen 监听
listening 监听
lithography 光刻
little endian 小字节序
Little Endian 小字节序
Live Video Streaming 视频直播
Live Video Streaming Network 视频直播网络
load 加载|负载
Local Fault 本地故障
Local Fault Indication 本地故障指示
local memory bus 本地存储器总线
Local Next Page 本地下一页
location 位置
lock 锁定
Lock-Step mode|lockstep mode|lock-step mode Lock-Step 模式
log 日志
logic 逻辑
logic level 逻辑级数|逻辑层次
login 登录
loop 循环
loop induction variable 循环感应变量
loop parallelism 循环并行化
loopback mode 环回模式
loss tangent 损耗角正切
low
low address 低地址
low power 低功耗
low time 低电平时间
low-voltage differential signaling 低压差分信号
low-voltage positive emitter-coupled logic 低压正发射极耦合逻辑
LUT 查找表|LUT

M

 
machine learning 机器学习
machine learning inference 机器学习推断
machine learning training 机器学习训练
macro
macrocell 宏单元
magic packet 魔术封包 (magic packet)
Make Active 变成有效的
manufacturing tolerance 制造公差
map 映射
mapper 映射器
margin 裕度
marker 标记
mask register 掩码寄存器
master clock 主时钟
master headend 主前端
master|Master 主|主控制器|主接口 (For AXI)
Math 数学函数
matrix dimension 矩阵维数
matrix element 矩阵元
matrix factorization technique 矩阵因子分解方法
maximum data rate 最大数据率
maximum transmission unit 最大传输单元
mean time between failure 平均故障间隔时间
measurement 测量
media access control 媒体访问控制
Media Independent Interface 媒体独立接口
Media Oriented Systems Transport 面向媒体系统传输
medical 医疗
medical imaging 医疗成像
medium 介质
memory 存储器|内存
memory bank 存储体
memory buffer 存储缓冲区
memory card 存储卡
Memory Controller Block 存储器控制块
Memory Controller Block (MCB) 存储器控制块
Memory Fetch 存储器提取
memory interface 存储器接口
Memory IP 存储器 IP
memory management unit 内存管理单元
memory protection unit 内存保护单元
memory stick 记忆棒
Memory Topology 存储器拓扑结构
memory-mapped 存储器映射
memory-to-logic ratio 存储器-逻辑比
merge 合并
message 消息
message filtering 消息滤波
metastability event 亚稳态事件
Methodology 方法论
methodology guide 方法指南
metrics 指标
Mezzanine Card 夹层卡
Mezzanine Card 夹层卡
Mezzanine card connectivity 夹层卡连接功能
micro kernal 微内核
MicroBlaze soft processor MicroBlaze 软核处理器
micro-bump 微凸块
microprocessor 微处理器
Microsoft Windows Vista Business Microsoft Windows Vista 商务版
Microsoft Windows XP Professional Microsoft Windows XP 专业版
microstrip 微带线
Microvia 微过孔
microwatts microwatts (微瓦)
Mictor Trace Port Mictor 跟踪端口
middleware 中间件
mid-sized 中等尺寸
migrate 移植
military 军工企业
military temperature device 军工级温度范围器件
milliseconds 毫秒
millwatss millwatss (毫瓦)
MLP 多层感知机
Mobility 灵活性
mode 模式
model 模型
modular design 模块化设计
Modular Exponentiation Core 模块化取幂核
modularity 模块化
Modulation 调制
modulator 调制器
module 模块
module instance 模块实例
modulo arithmetic 同余算术
monitor|monitoring 监控
monitored quantity 监控值
monolithic device 单片器件
motherboard 主板
motion adaptive noise reduction 运动自适应降噪
MQ (Metal Quad) 金属方形封装
multi bit error 多位错误
Multiboot|multiboot|multi-boot 多重启动
Multicast 多播
multicycle 多周期
multi-gigabit transceiver 千兆位级收发器
Multilayer Perceptron 多层感知机
Multilayer Perceptron (MLP) 多层感知机 (MLP)
multi-level cell (MLC) 多层单元 (MLC)
multimedia 多媒体
multiple boot 多重启动
multiplexer 多路复用器
multiplier 乘法器
multiprocessor 多核处理器
multirate FIR filter 多速率 FIR 滤波器
multi-threaded compilation 多线程编译
multi-tile synchronization 多块同步
mux 多路复用器

N

 
nanowatts nanowatts (纳瓦)
native API 本机 API
Near Clock Region 近端时钟区域
negative 负|下降沿
nested loop 嵌套循环
net 信号线
net 信号线
net delay 信号线延迟
netlist 网表
network 网络
network routing 网络路由
neutron-induced single-event upset 中子单粒子翻转效应 (NSEU)
new generation 新一代
nibble 半字节
node 节点
node-locked license 单机版许可证
noise floor 噪声本底
noise immunity 抗扰度
noise margin 噪声容限
Noise spectral density 噪声频谱密度
nomilization 归一化
non-blocking event 非阻塞事件
nonce 随机数
Non-Posted transaction 非转发传输事务
non-recurring engineering 非重发性设计成本
normalized average 归一化平均数
Nyquist theorem 奈奎斯特定理

O

 
obfuscated key 模糊密钥
object 对象
odd mode impedance 奇模阻抗
OEM 原始设备制造商
off-chip 片外
off-chip thermal 片外温度
offload|offloading 卸载
offset 偏移
offset cancellation 偏移消除
on-board|on board 板载
on-chip 片上
on-chip thermal 片上温度
on-die 片上
on-die termination 片上终端
on-orbit 在轨
on-premises 本地
on-substrate bypass capacitor 基片旁路电容
Open Asymmetric Multi Processing (OpenAMP) 开放式非对称多处理 (OpenAMP)
Open Base Station Architecture Initiative 开放式基站架构联盟 (OBSAI)
Open Graphics Language (OpenGL) 打开图形语言 (OpenGL)
Open Portable Trusted Execution Environment (OP-TEE) 开放可移植的可信执行环境 (OP-TEE)
open-drain 开漏|漏极开路
open-drain output 开漏输出
operator 运算符
opt 优化
optical 光学
Optical Internetworking Forum 光互联网络论坛(OIF)
optical proximity correction 光学邻近效应校正 (OPC)
optics 光模块
optimization 最优化
optimize 最优化
option 选项
Ordered Set 有序集
ordinary clock 普通时钟
oscillator 振荡器
oscilloscope 示波器
Out of Bound 出界
out of reset 脱离复位
outlier 离群值
out-of-band 频带外
out-of-context 非关联 (OOC)
out-of-context (OOC)|out of context (OOC) 非关联 (OOC)
out-of-order execution 无序执行
output 输出
Output Divider 输出分频器
over-building 过度构建
overclocked 已超频
over-driving 过驱动
overhead 开销
overload 重载
overloaded operator 重载运算符
over-sampling 过采样
overshoot 过冲
overview 简介
overwrite 覆盖

P

 
pack 打包
package 封装|包
package drawing 封装示意图
package specification 封装规格
packet
packet assembly 数据包组装
packet classification 信息包分类
packet processing 包处理
pad 焊盘
pad array 管脚阵列
PAR 布局布线
paradigm 范例
Parallel 并行
parallel cable 并行电缆
parallel flash interface 并行 Flash 接口
parallel network interface 并行网络接口
parallel system interface 并行系统接口
parallel termination 并联终端
parallelism 并行度|并行|并行化
parallelization 并行
parallelize 并行
parameter 参数
parameter sweep 参数扫描
parametrization 参数化
parasitic inductance 寄生电感
paravirtualization 半虚拟化
parity 奇偶校验
part 器件
part number 器件编号
partial reconfigurability 部分可重配置能力
partial reconfiguration 部分重配置
partition 分区|划分
partitioner 分区器
partnership 合作伙伴
passband 通带
passive cooling 被动散热
Passive Cooling 被动散热
Passive optical network 无源光纤网络
passive silicon interposer 无源硅中介层
past issue 往期
path 路径
pattern detector 模式检测器
pause-frames|pause frames 暂停帧
PAVE Framework PAVE 框架
payload 有效载荷
Pb-free solution 无铅解决方案
Pblock Pblock
PCB plane PCB 内电层
PCI slot PCI 插槽
PCIe Bridge PCIe 桥接器
pcore 处理器内核
PD (Plastic DIP) 塑料双列直插封装 (Plastic DIP)
Peak Cancellation Crest Factor Reduction (PC-CFR) 波峰削减-波峰系数削减 (PC-CFR)
per-bit deskew 逐比特去歪斜
performance 性能
performance margin 性能极限
performance per watt 单位功耗性能
period 周期
peripheral 外设
Peripheral Component Interconnect PCI(外围部件互连)
personality module connector 个性化模块接插件
perspective 透视图
pessimism 消极因素
PetaLinux tool set PetaLinux 工具包
phase 相位|阶段
phase acquisition time 相位捕获时间
phase alignment 相位对齐
Phase Error 相位误差
phase factor width 相位因子宽度
phase frequency detector 相位频率检测器
phase locked-loop|Phase-locked loop 锁相环
phase shift 相移
Phased Lock Loop 锁相环
phase-noise mask 相位噪声屏蔽
phase-shift timing increment 相位的步进时间
Physical Coding Sublayer 物理编码子层
physical database 物理数据库
physical layer 物理层
Physical Layer (PHY) 物理层 (PHY)
physical medium attachment 物理介质连接
physical synthesis 物理综合
picture 图像
picture enhancement 图像增强
pin 管脚
pin compatibility tool 引脚兼容检查工具
pin grid array (PGA) 针脚栅格阵列 (PGA)
pin metal quad flat pack 针脚金属方形平面封装
pin planning 管脚分配
pinout 管脚
pinout table 管脚表
pinout|pin-out 管脚分配
pip|PIP
pipeline 流水线
pipelined function 用流水线实现的功能
pipelining 流水打拍
place 布局|放置在
place and route 布局布线
placement 布局
planar electromagnetic (EM) 平面电磁性 (EM)
plastic DIP 塑料 (Plastic)|Plastic DIP
platform 平台
Platform Cable 平台电缆
Platform Cable 平台电缆
Platform diagram 平台框图
Platform Management Unit 平台管理单元
Platform Management Unit (PMU) 平台管理单元 (PMU)
PLCC 塑料无引线芯片载体封装 (PLCC)
pluggable 可插拔
plugin|plug-in 插件
pointer 指针
polling mode 轮询模式
Polygon List Builder 多边形列表生成器
polyphase interpolating 多相插值
port 端口
port mapping 端口映射
portable 便携式
positive 正|上升沿
post-detection 后检波
Posted transaction 转发传输事务
post-processing 后处理
power 电源|功耗
power analysis 功耗分析
Power cable 电源线缆
power consumption 功耗
power disspation 功耗损耗
power distribution 配电
power domain 功耗域
power down 掉电
power estimate 功耗估计
power estimation 功耗估算
power integrity 功耗完整性
power island 电源岛
power management 功耗管理
power margin 功耗裕度
power penalty 功耗代价
power rail 电源供电线
power rail 电源轨
power regulator 电源调节器
power report 功耗报告
power supply 电源
Power Supply Ramp Time 电源缓升时间
power supply rejection ratio (PSRR) 电源电压抑制比 (PSRR)
power-on 上电
power-saving circuit 节能电路
power-saving technology 节能技术
power-sensitive 节能应用
power-up 上电
PP (Plastic PGA) 塑料插脚栅格阵列
PQ (PQFP) 塑料四方扁平封装
pragma 编译指示
preamble 前导码
preamble detector 前导检测器
pre-compiled 预先编译完成的
predefined 预定义
predistortion filter 预校正滤波器
pre-emphasis 预加重
preempt traffic 抢占流量
Preemption 抢占
pre-engineered 预制
Pre-engineered packaging technology for proven  superior signal integrity 确实可实现优异信号完整性的预制封装技术
Prefetchable 可预取
pre-preg 预浸材料
pre-processing 预处理
preset 预置
pretested intellectual property 预先测试过的 IP核
primary clock 基准时钟
primitive 原语
privacy policy 信息保密条款
privileged 特权
probe 探测|探针
process 进程|处理|工艺
process corner 工艺角
process dependency 进程依赖性
processing 处理
processing system 处理器系统
processor 处理器
processor configuration access port 处理器配置访问端口
processor IP 处理器 IP
processor local bus 处理器本机总线 (PLB)
processor sub-system design 处理器子系统设计
producer function 生产者函数
product 产品
product  selection guide 产品选型指南
product brief 产品简介
product certification marking 产品认证标记
product guide 产品指南
product specifications 产品规格
production 量产
production board 量产板
production qualified (PQ) card 量产合格 (PQ) 卡
production ready 量产
productivity 生产力
profiling 剖析|profiling
profiling analysis 特性分析
program 程序|编程
Programmable carrier spacing and position 可编程载波间距与位置
programmable configuration 可编程配置
programmable imperative 可编程技术势在必行
programmable logic 可编程逻辑
programmable system 可编程系统
Programmed Power Manager (PPM) 编程功耗管理器 (PPM)
programmer 程序员|编程器
programming 编程
project 工程
Project Navigator 项目浏览器
promiscuous mode 混杂模式
propagation 传输
property 属性
protection 保护
protocol 协议
prototype 原型设计
provider logic partition 提供商逻辑分区
prune 剪枝
pseudo-inverse 伪逆矩阵
pseudo-random bit stream 伪随机比特流
public cloud 公有云
public cloud 公有云
pull type 拉拔类型
pulldown|pull-down 下拉
pullup|pull-up 上拉
pulse 脉冲
pulse width 脉冲宽度
push–pull output 推挽输出

Q

 
QPro QML Certified and Radiation Hardened Products QPro QML 认证和抗辐射加固产品
QR factorization QR 分解法
Quadrature Modulation Correction 正交调制校正
qualified server 认证服务器
quality 质量
Quality of Results 结果质量
Quality of Service (QoS) 服务质量 (QoS)
quanta 暂停量
quasi-maximum-likelihood 准最大概似法
quick reference guide 快捷参考指南
quick sort 快速排序
QuickStart 快速启动
quiscent current 静态电流

R

 
race condition 争用条件
rad-hard 抗辐射加固
Radiated & Conducted Emissions 辐射放射性与传导放射性
radiation effect 辐射效应
radiation hardened 抗辐射加固
radio system 无线电系统
radix 基数
randomiser 随机函数发生器
RapidIO Logical (I/O) and Transport Layer RapidIO 逻辑层 (I/O) 和传输层
RapidIO Physical Layer RapidIO 物理层
rasterizer 栅格化
raster-scan|raster scan 光栅扫描
rate shape 码率整形
rational value 有理值
raw bit file 原始比特文件
read 读取
readback 回读
read-only 只读的
ready-to-use 即用型
real 实数
Real-Time Processing Unit 实时处理单元
Real-Time Processing Unit (RPU) 实时处理单元 (RPU)
real-time streaming solution 实时流媒体解决方案
Real-Time Video Streaming 实时视频流
real-time window monitoring 实时窗口监控
real-valued number 实数
receive 接收
receiver elastic buffer delay 接收器弹性缓冲器延时
reception (RX) 接收 (RX)
recipe 配方
reciprocal function 互反函数
reconfigurable 可重新配置
reconfigurable module 可重新配置模块
reconfigure 重新配置
recovery 恢复
rectangular bank pin-out 矩形分组管脚
recursive temporal filter 递归时间滤波器
Reduced Gigabit Media Independent Interface (RGMII) 简化千兆位媒体独立接口(RGMII)
redundancy remover 冗余去除机制
reference clock 参考时钟
reference design 参考设计
reflow soldering 回流焊接
refresh 刷新
register 寄存器|注册
register transfer level 寄存器传输级
registration 注册
regular expression 正则表达式
regulator 调节器
relative clock 相关联时钟
relative dielectric constant 相对介电常量
relative permittivity 相对电容率
release note 版本说明
reliability 可靠性
remote fault condition 远端故障状况
Remote Fault Indication 远端故障指示
renderer 渲染器
replication 复制
report 报告
repository
reprogrammability 可重编程功能
reprogramme 重编程
research 研究
reset 复位
Resident at the edge 用户端
residential gateway 住宅网关
resistor 电阻
resonant 谐振
resource 资源
restore 恢复
retiming 重定时
return loss 回波损耗
return on investment 投资收益
return path 回路
Return to top 返回页首
reuse 复用
reverse engineering 反向工程
revision control 版本控制
Rewind 回绕
rework soldering 返工焊接
RF (Radio Card) RF(射频卡)
right-click 右键单击
ring buffer 环形缓冲器
ripple 纹波
rising edge 上升沿
robotics 机器人学
RocketIO Multi-Gigabit Transceiver RocketIO 千兆位级收发器
rolling-bank mode 滚动库模式
roll-off 滚降
root
root complex 根联合体 (Root complex)
route 布线
routed estimates 布线后估计
router 路由器|布线器
routing 布线
routing connection 布线走线
routing packet 路由包
RTL analysis RTL 分析
rule deck 规则卡
run 运行
running disparity 运行不一致
runt frame 超短帧
runtime 运行时间
runtime library 运行库

S

 
sample 采样|示例
sampling error 采样误差
Sanity 完整性
satellite controller 卫星控制器
saturation arithmetic 饱和运算
scalar 标量
scaling 缩放
scan 扫描
scan type 扫描类型
Scatter-Gather DMA 分散聚集 DMA
schematic 板级原理图
scrambler 扰码器
script 脚本
SD card SD 卡
SDP 简单双端口 (SDP)
seamless integration 无缝集成
search 搜索
searcher 搜索器
secret key 私钥
secure boot 安全启动
Secure Chip AES 安全芯片 AES
secure key 安全秘钥
security 安全性
SEE Consortium SEE 协会
select 选择|单击
self-calibration 自校准
sell sheet 销售表
semantics 语义
semaphore 信号量
semiconductor 半导体
send 发送
sense amp 传感放大器
sensor 传感器
Sequencer 定序器
Sequential API Sequential 顺序模型 API
sequential cell 时序单元
sequential element 时序元件
sequential logic 顺序逻辑
sequential loop 顺序循环
serial backplane simulator 串行背板仿真器
serial clock 串行时钟
serial configuration 串行配置
serial digital interface 串行数字接口
Serial Gigabit Media Independent Interface (SGMII) 串行千兆位媒体独立接口 (SGMII)
Serial Peripheral Interface bus (SPI) 串行外设接口总线 (SPI)
serial rate 串行速率
serial system interface 串行系统接口
serial transceiver 串行收发器
Serial Vector Format (SVF) 串行矢量格式 (SVF)
serializer 串行器
series resistance 串联电阻
series termination 串联终端
server 服务器
service 服务
Service Pack 服务包
set 置位
setting 设置
settling time 稳定时间
setup 建立|设置|安装
SFI electrical specification SFI 电气接口规范
shift register 移位寄存器
shifter 移位器
shortcut 捷径
shunt capacitance 分流电容
shunt resistor 分流电阻
side channel attack 旁路攻击
sideband 边带
side-channel signal 旁路信号
sign extended 符号位扩展
signal 信号
signal destination 信号终点
signal integrity 信号完整性
signal loss 信号损耗
signal processing capability 信号处理功能
signal propagation delay 信号传输延迟
signal source 信号源
signal termination 信号终端
signed 符号
signoff 验收
silicon 芯片|硅片|硅
silicon failure analysis RMA process 芯片失效分析 RMA 处理
silicon interposer 硅中介层
Simple-Dual RAM 简单双口 RAM
simulation 仿真
simulation data interface 仿真数据接口
simulator 仿真器
simultaneous switching noise 同步开关噪声 (SSN)
single bit error 单位错误
single event fault interrupt 单粒子失效中断
single event functionality interrupt 单粒子功能中断
Single event mitigation 单粒子缓解
single event transient (SET) 单粒子瞬态脉冲 (SET)
single event upset 单粒子翻转
Single RAM 单口 RAM
Single rank DIMM 单列 DIMM
single trace 单迹
single-bit error correction 单比特纠错
single-ended signal 单端信号
Single-instruction multiple-data|single instruction multiple data 单指令流多数据流
single-level cell (SLC) 单层单元 (SLC)
single-width 单宽度
Sink core 接收端 (Sink) 核
sink current 灌电流
site site 位置|站点|位置
site location site 位置
Site Map 网站地图
skew 偏差
skew comutation 歪斜突变
skin effect 趋肤效应
slack 裕量
slave 从|从设备|从接口
slave peripheral 从外设
slave SelectMAP mode 从动 SelectMAP 模式
slave serial mode|slave-serial mode 从串模式
slew 斜率
slope|Slew Rate 斜率
Slow timing corner 慢速时序角
small footpring 小尺寸
small form factor 小型化
Smart Camera 智能相机
snapshot 快照
snoop 嗅探
snoop mode 监听模式
SO (SOIC) 小外形集成电路
socketable 可插接
software 软件
software development kit 软件开发套件 (SDK)
software layer 软件层级
software-defined radio 软件定义无线电
solder ball 焊球
solder bump 焊球
solder land 焊垫
solution 解决方案
sort 分类
source
source and sink data 源宿数据
Source core 源端 (Source) 核
source current 拉电流
source file 源文件
source management 源文件管理
source-synchronous 源同步
space-grade 宇航级
Spartan-3 Generation Spartan-3 系列
specification 规格|规范
spectrum analyzer 频谱分析仪
spectrum channelization 频谱信道化
speed 速度
speed grade 速度等级
sphere detection 球形检测
Split mode Split 模式
sponsor 赞助商
spread spectrum system 扩频系统
spreadsheet 电子数据表
spread-spectrum 扩展频谱
spur 杂散
Spurious emission 杂散发射
square root 平方根
S-record S-record 文件
SSI technology SSI 技术
stack 堆栈|栈
stacked mode 堆栈模式
stacked silicon 堆叠硅片
Stacked Silicon Interconnect Technology 堆叠硅片互联 (SSIT)
Stackup 叠层
standard 标准
standard bus interface 标准总线接口
standard netlist 标准网表
standby 待机
standby mode 待机模式
start point|startpoint 起点|始点
Starter Kit 入门套件
state 状态
state machine 状态机
state-space observer 空间状态观测器
static power 静态功耗
static power 静态功耗
static probability 静态概率
static timing analysis 静态时序分析
statistics 统计数据
status 状态
step 步骤|逐步
Step Current 阶跃电流
step input 阶跃输入
step response overshoot 阶跃响应过冲
stimulus block 激励块
stop word 停用词
stopband 阻带
storage 存储
storage area networking 存储区域网络
store 存储
Straddle 跨接
strategic alliances 战略联盟
strategy 策略
streaming 数据流传输
streaming network 流媒体网络
stress tolerance 耐逆性
stress-liner strain technology 应力衬垫应变
stride 步幅
string 字符串
stripline 带状线
strobe 选通
struct 结构体
stub 短截线
sub-block 子块
submit 提交
subsystem 子系统
subtractor 减法器
Success Stories 成功案例
successive-interference cancellation 连续干扰抵消
Super Logic Region (SLR) 超级逻辑区域 (SLR)
Super Long Line (SLL) 超长线路 (SLL)
super sample rate (SSR) 超采样率
supercharge 超负荷
supercomputing 超级运算
Supply voltage 供电电压
support 支持
surge current 浪涌电流
Surveillance 监控
survivor path 幸存路径
suspend mode 待机模式
sustained transfer rate 持续数据传输速率
swap out 更换
swing 摆幅
switch fabric 交换机结构
Switched Mezzanine Card (XMC) 交换夹层卡 (XMC)
symbol vector 符号向量
sync word 同步字
synchronization 同步
synchronous 同步
syntax 语法
synth 综合
synthesis 综合
Synthesis and Simulation Design Guide 综合及仿真设计指南
synthesize 综合
system 系统
system call 系统调用
system diagram 系统框图
system Gate 系统门
system level tool 系统级工具
System Memory Management Unit 系统内存管理单元
System Memory Management Unit (SMMU) 系统内存管理单元 (SMMU)
System Monitor 系统监控器
System Performance Monitoring 系统性能监控
System Performance Monitoring (SPM) 系统性能监控 (SPM)
system resource 系统资源
system timing 系统时序
System-Level Control Register 系统级控制寄存器
System-Level Control Register (SLCR) 系统级控制寄存器 (SLCR)
systolic filter 脉动型滤波器
systolic-array 脉动阵列

T

 
tab 选项卡|标签页
Tabbed Pane 选项卡窗格
table
tamper 篡改
tampering 篡改
tap 抽头
target 目标
task 任务
Tcl console Tcl 控制台
Tcl Store Tcl 仓库
tech-mapped gate 门级映射
Technical Reference Manual 技术参考手册
technical support 技术支持
telecommunications 电信
Telematics 远程信息处理
telemetry 遥测
temperature 温度
temperature excursion 温度漂移
template 模板
tensor 张量
term 专用名词|终端
terminal 终端
termination 终端
test bench|testbench 测试激励文件
test fixture 测试装置
test pattern generator 测试模式生成器
Test/Measurement Equipment 测试/测量设备
Text Editor 文本编辑器
text file 文本文件
thermal
thermal gradient 热梯度
Thermal relief 热风焊盘
thermal resistance 热阻
third-order 三阶
third-party 第三方
through point 穿越点
throughput 吞吐量|吞吐率
through-silicon via 硅通孔
tick 节拍
Tightly Coupled Memory (TCM) 紧密耦合内存 (TCM)
tile
time division multiplexing 时分复用
Time domain reflectometry 时域反射法
time slot 时隙
timer 定时器
timing 时序
timing analysis 时序分析
Timing Analyzer 时序分析器
timing arc 时序 arc
timing baselining 时序基线检查
timing calculation 时序计算
timing closure 时序收敛
timing constraint 时序约束
timing exception 时序例外
timing margin 时序裕度
timing model 时序模型
timing report 时序报告
timing simulation 时序仿真
timing slack 时序裕量
timing-driven 时序驱动的
timstamp 时间戳
toggle 翻转
toggle rate 翻转率
token 标识
tolerance 容限
tool 工具
toolchain|tool chain 工具链
top-level 顶层
top-level design module 顶层设计模块
top-level file 顶层文件
top-level hierarchy 顶层层级
top-level module 顶层模块
topology 拓扑结构
Total Hold Slack 总体保持时序裕量
Total Negative Slack 总体时序负裕量
Total Pulse Width Slack 总体脉冲宽度时序裕量
TQ (TQFP) 薄形四方扁平封装
trace 追踪|走线
track 轨道
trade-off 利弊取舍
trade-off 折衷|均衡|平衡
tradeshow 展览会
traffic 流量
traffic scheduler 流量调度器
train 训练
transaction 传输事务|数据传输
transaction pending 传输事务待处理
transaction poisoning 传输事务投毒
transceiver 收发器
trans-coding 转码
transfer 传输
transform 变换
transistor 晶体管
transistor 晶体管
translate 转换
transmission 发射
transmission (TX) 发射 (TX)
transmission line 传输线
transmit 发射
transmitter 发射器
transparent clock 透明时钟
tree traversal structure 遍历树结构
trig function 三角函数
trigger 触发|触发器
trigger sequencer 触发定序器
tri-mode 三态
trip 脱扣
trip count 循环次数
triple module redundancy 三重模块冗余
triple-oxide 三栅极氧化层
triple-rate 三倍速率
Triple-timer counter 三重定时器计数器
troubleshoot 问题解答
true differential termination on-chip 真正的差分片上终端
True-Dual RAM 真双口 RAM
Trust (Supply Chain Security) 信任(供应链安全)
Trusted Execution Environment (TEE) 可信执行环境 (TEE)
tuple 元组
Turbo code register Turbo 码寄存器
Turbo Convolutional Code Turbo 卷积码
Turbo Product Code Turbo 乘积码
turnkey 交钥匙
tutorial 教程
Twiddle Factor 旋转因子
Two-tone 双音
Two-tone, third-order inter-modulation distortion 双音三阶互调失真

U

 
ultimate connectivity 终极连接功能
ultimate system integration platform 终极系统集成平台
Ultra low power 极低功耗
unateness 单边性
uncertainty 不确定性
underflow 下溢
under-run 欠载
undershoot 下冲
unidirectional|uni-directional 单向
unified software platform 统一软件平台
Uniform Quantization 均匀量化
uninstall 卸载
union 联合体
unit 单位|单元
Universal Asynchronous Receiver/Transmitter (UART) 通用异步接收器/发射器 (UART)
University Program 大学计划
unpack 解包
unprivileged 非特权
unrolling 展开
unsigned 无符号
Upcoming Events 近期活动
upgrade 升级
USB Bluetooth dongle USB 蓝牙连接器
USB flash drive USB 盘
user 用户
user datagram protocol 用户数据报协议
user guide 用户指南
user profile 用户信息
user-defined sequence of events 用户自定义的事件序列
user-modifiable 用户可修改的
utilities 实用工具
utilization 利用率|利用
utilization control 利用率控制
utlity 实用程序

V

 
validate 验证
value
value chain 价值链
valued-channel matrix 数值信道矩阵
variable 变量
Vector Methodology 矢量评估法
vector network analyzer 矢量网络分析仪
vector rotation 矢量旋转
vector-based 基于矢量
vector-less analysis 无矢量分析
vendor 供应商
verification 验证
verify 验证
verify and validate 核实和验证
version 版本
vertex loader 顶点加载器
vertex shader 顶点着色器
vertex storer 顶点存储器
very long instruction word 超长指令字
via 过孔
victim 受干扰对象
video 视频
Video and Imaging Kit 视频和影像套件
Video and Imaging Kit 视频和影像套件
video capture 视频采集
Video Codec Unit (VCU) 视频编解码单元 (VCU)
video combiner 视频合成器
video editing 视频编辑
video imaging 视频成像
video on demand 视频点播
video scaler 视频转换器
video Starter Kit 视频入门套件
video-pipline|video pipeline|video-pipelining 视频流水线
view 视图
violation 违例
virtual cable 虚拟线缆
virtualization 虚拟化
Vitis accelerated kernel Vitis 加速内核
Vitis accelerated libraries Vitis 加速库
Vitis AI compiler Vitis AI 编译器
Vitis AI debugger Vitis AI 调试器
Vitis AI libraires Vitis AI 库
Vitis AI model zoo Vitis AI 模型库
Vitis AI optimizer Vitis AI 优化器
Vitis AI profiler Vitis AI 分析器
Vitis BLAS Library Vitis BLAS 库
Vitis core development kit Vitis Core 开发套件
Vitis Database Library Vitis 数据库库
Vitis domain-specific development environment Vitis 特定领域开发环境
Vitis Financial Technology Library Vitis 金融技术库
Vitis Genomics development kit Vitis 基因分析开发套件
Vitis Quantitative Finance Library Vitis 定量金融库
Vitis unified software platform Vitis 统一软件平台
Vitis Vision Library Vitis 视觉库
Vivado tool Vivado 工具
voice video 语音视频
voltage 电压
voltage rail 电压轨
voltage-controlled oscillator 压控振荡器
volume application 批量应用
VQ (Very Thin QFP ) 超薄四方扁平封装

W

 
wafer lot 晶圆批次
wafer thinning 晶圆减薄
wake-on-LAN (WOL) 网络唤醒 (WOL)
walking ones|walking 1s|walking 1’s 遍历 1
wander 漂移
warn 警告
warning 警告
watchdog 看门狗
waveform 波形图|波形
weak-keeper circuit 弱保持器电路
web link Web 链接
web page 网页
web portal 门户网站
web server 网络服务器
webcast 在线研讨会
Webtalk|webtalk|WebTalk WebTalk
weight 权重
Weight Average Simultaneous Switching Output(WASSO) 加权平均同步转换输出 (WASSO)
weighting 权重
What’s New 最新消息|最新内容
white paper 白皮书
width 宽度
wiki page 维基页
wild card 通配符
winding function 绕组函数
window 窗口
wired communication 有线通信
wired link 有线链接
wired network 有线网络
wireless base station 无线基站
wireless communication 无线通信
wireless LAN / PAN 无线 LAN/PAN
wizard 向导
workflow 工作流程
workload 工作负载
workshop 研讨会
workspace 工作空间
workstation 工作站
Worst Hold Slack 最差保持时序裕量
Worst Negative Slack 最差负时序裕量
Worst Pulse Width Slack 最差脉冲宽度时序裕量
wrap 卷绕
wrapper 封装|封装器
wrapper 封装文件
write 写入
write recovery 写入恢复

X

 
XCITE digitally controlled I/O impedence XCITE 数控 I/O 阻抗
XFI electrical specification XFI 电气接口规范

Y

 
yaw-rate sensing package 横摆角速度感测

Z

 
ZBT SRAM Calculator ZBT SRAM 计算器
Zero Bus Turnaround 零总线转换
zoom 缩放
zoom in 放大
zoom out 缩小
版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员-用户IM,转载请注明出处:https://javaforall.cn/193448.html原文链接:https://javaforall.cn

【正版授权,激活自己账号】: Jetbrains全家桶Ide使用,1年售后保障,每天仅需1毛

【官方授权 正版激活】: 官方授权 正版激活 支持Jetbrains家族下所有IDE 使用个人JB账号...

(1)


相关推荐

  • dataframe分割数据_语篇语义框架研究

    dataframe分割数据_语篇语义框架研究mmSegmentation开源语义分割框架详细入门教程,含自定义数据集、模型选择、训练参数设定等

  • BulletedList用途

    BulletedList用途1.用作最普通的信息显示(列表方式)2.制作导航条BulletedList3中模式1.Text文本2.HyperLink连接2.LinkButton按钮BulletedList&#1

  • 微信公众号代理运营公司_多平台推广

    微信公众号代理运营公司_多平台推广最近公司项目需要切到微信服务号,但是公司内网环境需要开防火墙策略才能访问微信的开放API,实际上就是通过代理去访问。这里记录一下我通过代理去调用微信API遇到的坑及解决办法。

  • java设置httponly,java设置httponly

    java设置httponly,java设置httponly()+3600*24,””,””,0);setcookie(“TestCookie”,”abcdef”,time()+3600*24,””,””,1);?>//末尾0表示未设置httponly表中,1表示设置该位规范编码……java项目部署指南_IT/计算机_专业资料。部署安装手册1.0目第一章、简单安装部署录1、JDK安装和配置2、Tomcat的安装和配置…

  • win10中修改mac地址(总有一款适合你)

    win10中修改mac地址(总有一款适合你)我的解决办法如下:先上图:下面转自:Win10秘笈:两种方式修改网卡物理地址(MAC)https://www.ithome.com/html/win10/244510.htm在修改之前,可以先确定一下要修改的网卡MAC地址,查询方法有很多种,比如:1、在设置→网络和Internet→WLAN/以太网,如下图——2、在控制

  • matlab 实现二值图像孔洞填充函数imfill()

    matlab 实现二值图像孔洞填充函数imfill()代码如下:function[I2,locations]=imfill(varargin)[I,locations,conn,do_fillholes]=parse_inputs(varargin{:});ifdo_fillholesifislogical(I)mask=uint8(I);elsemask=I;endmask=padarray(mask,ones(1,ndims(mask)),

发表回复

您的电子邮箱地址不会被公开。

关注全栈程序员社区公众号