QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试目录1.首先下载软件以及文件2.安装软件3.软件4.例程测试5.新建原理图1.首先下载软件以及文件链接:https://pan.baidu.com/s/1qGtGchiD0gXn_3b2ZRRGiA提取码:ofss下载完如下图所示:解压QuertusII9.0的压缩包。2.安装软件2.1.点击setup.exe2.2.接受条款…

大家好,又见面了,我是你们的朋友全栈君。如果您正在找激活码,请点击查看最新教程,关注关注公众号 “全栈程序员社区” 获取激活教程,可能之前旧版本教程已经失效.最新Idea2022.1教程亲测有效,一键激活。

Jetbrains全系列IDE稳定放心使用


 目录

1.首先下载软件以及文件

2.安装软件

3.软件

4.例程测试

5.新建原理图


1.首先下载软件以及文件

链接:https://pan.baidu.com/s/1qGtGchiD0gXn_3b2ZRRGiA 
提取码:ofss

 下载完如下图所示:

QuartusII 9.0安装教程详解及例程测试

解压QuertusII 9.0的压缩包。

2.安装软件

2.1.点击setup.exe

QuartusII 9.0安装教程详解及例程测试

2.2.接受条款

QuartusII 9.0安装教程详解及例程测试

2.3.填写信息

QuartusII 9.0安装教程详解及例程测试

2.4.选择安装路径

QuartusII 9.0安装教程详解及例程测试

2.5.下一步:

QuartusII 9.0安装教程详解及例程测试

备注:这个可以随便选 

2.6.下一步

QuartusII 9.0安装教程详解及例程测试

2.7.下一步:

QuartusII 9.0安装教程详解及例程测试

2.8.安装中,等待几分钟:

QuartusII 9.0安装教程详解及例程测试

2.9.安装完成后桌面一个图标,点击图标进入软件:

QuartusII 9.0安装教程详解及例程测试

2.10.进入软件,弹窗,选择OK

QuartusII 9.0安装教程详解及例程测试

2.11.又一个弹窗,OK

QuartusII 9.0安装教程详解及例程测试

2.12.可以看到这个软件的使用年限已经过期了:

QuartusII 9.0安装教程详解及例程测试

3.打开软件

3.1.打开tool–>License Setup查看网卡地址

QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

3.2.打开下载好的文件,使用记事本打开license.DAT文件进行编辑:

QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

替换后如下:

QuartusII 9.0安装教程详解及例程测试

3.3.把修改后的license.DAT文件复制到软件安装目录下:

QuartusII 9.0安装教程详解及例程测试

3.4.修改license的路径,改成我们刚刚放置License的路径:

QuartusII 9.0安装教程详解及例程测试

3.5.将文件bin32文件夹中的sys_cpt.dll复制到安装目录的quartus的bin目录下:

QuartusII 9.0安装教程详解及例程测试

找到软件安装目录,然后找到quartus/bin,粘贴:

注意:先暂时关闭软件,不然没办法替换的。

QuartusII 9.0安装教程详解及例程测试

至此,就完成啦。


4.例程测试

4.1.使用向导新建一个工程,File->New Project Wizard

QuartusII 9.0安装教程详解及例程测试

4.2.下一步

QuartusII 9.0安装教程详解及例程测试

4.3.选择保存路径:

QuartusII 9.0安装教程详解及例程测试

4.4.添加设计文件到您的工程目录下面,如果没有设计文件,则点击“NEXT”

QuartusII 9.0安装教程详解及例程测试

4.5.选择器件的具体型号:

QuartusII 9.0安装教程详解及例程测试

4.6.选择设计综合工具,选择仿真工具,选择时序分析工具,默认,就点击“NEXT”

QuartusII 9.0安装教程详解及例程测试

4.7.点击“FINISH”,工程文件建立成功

QuartusII 9.0安装教程详解及例程测试

4.8.新建设计文件,点击“FILE”—–“NEW”

QuartusII 9.0安装教程详解及例程测试

4.9.选择“VHDL File”:

QuartusII 9.0安装教程详解及例程测试

4.10.编写一个简单的半加器实验:

具体代码如下:

library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture fh1 of h_adder is
	begin
	
		SO <= A xor B;
		CO <= A and B;
end architecture fh1;

把这段代码粘贴到新建的file中:

QuartusII 9.0安装教程详解及例程测试

4.11.点击保存后,必须注意一点,您所设计的模块名,必须和您保存的文件名字完全相同

QuartusII 9.0安装教程详解及例程测试

4.12.点击开始编译

QuartusII 9.0安装教程详解及例程测试

4.13.编译成功,弹出对话框,确定:

QuartusII 9.0安装教程详解及例程测试

提示:

如果遇到错误:Error: Top-level design entity “Verilog1” is undefined

那是因为你的顶层文件名和实体名对不上,修改菜单Assignments -> Settings…
打开后点击第一个General选项里,在Top-level entity标签指示下的编辑框里输入你的VHDL文本里的实体名字就OK了。

例如:这里应该是:h_adder

QuartusII 9.0安装教程详解及例程测试

4.14.新建仿真波形文件FILE->NEW;选择如下所示,选择好波形文件后,点击OK

QuartusII 9.0安装教程详解及例程测试

4.15.弹出对话框,如下图所示:

QuartusII 9.0安装教程详解及例程测试

4.16.添加输入输出信号的波形仿真文件中;安装图中说明进行选择;

QuartusII 9.0安装教程详解及例程测试

4.17.点击”Node Finder…”

QuartusII 9.0安装教程详解及例程测试

4.18.按照图中说明进行操作

QuartusII 9.0安装教程详解及例程测试

4.19.再单击OK

QuartusII 9.0安装教程详解及例程测试

4.20.编辑信号如下图所示:

QuartusII 9.0安装教程详解及例程测试

提示:

如何编辑信号的电平?

按住鼠标左键,然后拖地鼠标选取所需要的时间段;如下图所示

QuartusII 9.0安装教程详解及例程测试

选择“1”,就可以把刚才所选取的时间段变为1;编辑好波形文件后,必须点击保存

QuartusII 9.0安装教程详解及例程测试

4.21.点击保存,或者快捷键:CTRL + S:

QuartusII 9.0安装教程详解及例程测试

4.22.选取仿真的方式,点击“Processing”—-“Simulator Tool”

QuartusII 9.0安装教程详解及例程测试

4.23.如果您需要先进行功能仿真,1、选择“Functional”;2、选择刚才保存的波形文件“johson.vwf”;3、点击“Generate Functional Simulation Nelist”,弹出对话框,提示成功后,点击“确定”;4、点击“Start”

QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

点击start,开始:

QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

4.24.仿真成功后,弹出对话框,提示仿真成功,然后点击“Report”就可以看到波形仿真结果了:

QuartusII 9.0安装教程详解及例程测试

半加器的仿真波形如下:

QuartusII 9.0安装教程详解及例程测试


5.新建原理图

5.1.首先选择FILE->Create/Update->Create Symbol Files for Current File

QuartusII 9.0安装教程详解及例程测试

QuartusII 9.0安装教程详解及例程测试

5.2.接着File->new

QuartusII 9.0安装教程详解及例程测试

新建一个Schematic File

QuartusII 9.0安装教程详解及例程测试

5.3.空白处双击:

QuartusII 9.0安装教程详解及例程测试

5.4.弹出一个对话框,选择,Project 下的 h_adder

QuartusII 9.0安装教程详解及例程测试

5.5.单击左键放置,接OK了:

QuartusII 9.0安装教程详解及例程测试


。。。。。。。。。。。。。。。。。。。。。本教程完。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。


 

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员-用户IM,转载请注明出处:https://javaforall.cn/181457.html原文链接:https://javaforall.cn

【正版授权,激活自己账号】: Jetbrains全家桶Ide使用,1年售后保障,每天仅需1毛

【官方授权 正版激活】: 官方授权 正版激活 支持Jetbrains家族下所有IDE 使用个人JB账号...

(0)
blank

相关推荐

  • jdk1.8 特性_jdk1.7和1.8的区别

    jdk1.8 特性_jdk1.7和1.8的区别一.什么是stream?1.概述Java8API添加了一个新的抽象称为流Stream,可以让你以一种声明的方式处理数据。这种风格将要处理的元素集合看作一种流,流在管道中传输,并且可以在管

  • javascript中void(0);用法及常见问题解析

    javascript中void(0);用法及常见问题解析转载这篇文章使用过ajax的朋友经常会见到这样的代码:here,这里面的void是一个操作符,该操作符指定要计算一个表达式但是不返回值。javascript:void(0)在某些情况下会有浏览器不兼容的bug。下面我们先来看下javascript:void(0)的基础介绍及用法,然后再来看使用它会出现什么问题,该怎么解决。提示:在学习一下内容之前,你可以先通过javascript:vo…

  • 如何通过eclipse导入web项目「建议收藏」

    如何通过eclipse导入web项目「建议收藏」如何通过eclipse导入web项目通过eclipse导入web项目的相关流程。【1】打开eclipse,单击左上角的File,File–>Import【2】打开General–>ExistingprojectsintoWorkspace–>Browse(选择需要打开的项目)注意:记得勾选下方【copyprojectintoproject】【3】所有不是在自己电脑上开发的web项目,都需要重新配置一下,单击项目右键,打开Projects【4】打开JavaBul

  • 雷达探测障碍物是应用了超声波吗_超声波雷达无返回数据

    雷达探测障碍物是应用了超声波吗_超声波雷达无返回数据超声波(Ultrasound,又称超声波雷达)定位,即使用发射探头发出频率大于20KHz的声波和计算飞行时间来探测距离。常用的超声波频率有40KHz、48KHz和58KHz,其中最常用的频率是40KHz。使用超声波定位,一般精度在1cm~3cm之间,探测适用范围在0.2m~5m之间。​超声波指向性强,在介质中传播的距离较远,因而超声波经常用于距离的测量,如测距仪和物位测量仪等都可以通过超声波来实现。利用超声波检测往往比较迅速、方便、计算简单、易于做到实时控制,并且在测量精度方面能达到工业实用的要求,因此在

  • SpringBoot 使用 @Transactional 注解配置事务[通俗易懂]

    SpringBoot项目中需要配置事务管理,所以在这里系统地整理下关于@Transactional注解相关的知识!1、详细介绍事务管理是应用系统开发中必不可少的一部分。Spring为事务管理提供了丰富的功能支持。Spring事务管理分为编程式和声明式的两种方式。编程式事务指的是通过编码方式实现事务;声明式事务基于AOP,将具体业务逻辑与事务处理解耦。声明式事务管理使业务代…

  • 啦啦外卖商家端APP打包

    经过多日终于打包啦啦外卖商家端打包好,语音播报,扫一扫,订单推送,下面是打包好的演示图片,不懂的小伙伴可以叫我讨论一下。

发表回复

您的电子邮箱地址不会被公开。

关注全栈程序员社区公众号