sequencer是什么意思_centralism

sequencer是什么意思_centralismsequencer产生transaction,而driver负责接收transaction。classmy_driverextendsuvm_driver#(my_transaction);

大家好,又见面了,我是你们的朋友全栈君。如果您正在找激活码,请点击查看最新教程,关注关注公众号 “全栈程序员社区” 获取激活教程,可能之前旧版本教程已经失效.最新Idea2022.1教程亲测有效,一键激活。

Jetbrains全系列IDE使用 1年只要46元 售后保障 童叟无欺

sequencer产生transaction,而driver负责接收transaction。

class  my_driver   extends   uvm_driver #(my_transaction);

这样定义的好处是可以直接使用uvm_driver中的某些预先定义好的成员变量,例如uvm_driver中有成员变量req,它的类型是传递给uvm_driver的参数,即my_transaction。

task  my_driver::main_phase(uvm_phase phase);

     phase.raise_objection(this);

 

     phase.drop_objection(this);

endtask

每个sequence都应该派生自uvm_sequence,并且在定义时指定要产生的transaction类型。

每一个sequence都有一个body任务,当一个sequence启动之后,会自动执行body中的代码。

在下面代码中,用到了一个宏uvm_do。这个宏是UVM最常用的宏之一,它用于

1、创建一个my_transaction的实例m_trans;

2、将其随机化;

3、最终将其送给sequencer.

如果不使用uvm_do宏,也可以直接使用start_item和finish_item的方式产生transaction。

class my_sequence extends  uvm_sequence #(my_transaction);

    my_transaction  m_trans;

    function  new(string name=”my_sequence”);

         super.new(name);

    endfunction

     virtual  task body();

          repeat(10) begin

                 `uvm_do(m_trans)

          end

           #1000;

     endtask

     `uvm_object_utils(my_sequence)

endclass

       一个sequence在向sequencer发送transaction前,要先向sequence发送一个请求,sequencer把这个请求放在一个仲裁队列中。

作为sequencer,它需要做两件事情:第一,检测仲裁队列里是否有某个sequence发送transaction的请求;第二,检测driver是否申请transaction。

1、如果仲裁队列里有发送请求,但是driver没有申请transaction,那么sequencer将会一直处于等待状态,直到driver申请新的transaction。

     此时,sequencer同意sequence的发送请求,sequence在得到sequencer的批准后,产生一个transaction并交给sequencer,后者把这个transaction交给driver。

2、如果仲裁队列里没有发送请求,但是driver向sequence申请新的transaction,那么sequencer将会处于等待sequence的状态,一直到有sequence递交发送请求,sequencer马上同意这个请求,

     sequence产生transaction并交给sequencer,最终driver获得这个transaction。

3、如果仲裁队列里有发送请求,同时driver也在向sequencer申请新的transaction,那么将会同意发送请求,sequence产生transaction并交给sequencer,最终driver获得这个transaction。

      driver如何向sequencer申请transaction呢?

      在uvm_driver中有成员变量seq_item_port,而在uvm_sequencer中有成员变量seq_item_export,这两者之间可以建立一个通道。通道中传递的transaction类型就是定义my_sequenr和my_driver

时指定的transaction类型。

      当然,这里并不需要显示的指定“通道”类型,UVM已经做好了。在my_agent中,使用connect函数把两者联系在一起。

      function void my_agent::connect_phase(uvm_phase phase);

             super.connect_phase(phase);

              if(is_active==UVM_ACTIVE)begin

                     drv.seq_item_port.connect(sqr.seq_item_export);

              end

      endfunction

    

       当把两者连接好之后,就可以在driver中通过get_next_item任务向sequencer申请新的transaction。

     task my_driver::main_phase(uvm_phase phase);

            ……

             while(1)begin

                 seq_item_port.get_next_item(req);

                 drive_one_pkt(req);

                 seq_item_port.item_done();

             end

     endtask

      在如上代码中,一个最显著的特征是使用了while(1)循环,因为driver只负责驱动transaction,而不负责产生,只要有transaction就驱动,所以必须做成一个无限循环的形式。

这与monitor、refmodel和scoreboard情况非常类似。

      通过get_next_item任务来得到一个新的req,并且驱动它,驱动完成后调用item_done通知sequencer。这里为什么会有一个item_done?

      当driver使用get_next_item得到一个transaction时,sequencer自己也保留一份刚刚发送出的transaction。当出现sequencer发出了transaction,而driver没有得到的情况时,sequencer会把保留的这份transaction再发送出去。

那么sequencer如何知道driver是否已经成功得到transaction呢?如果在下次调用get_next_item前,item_done被调用,那么sequence就认为driver已经得到了这个transaction,将会把这个transaction删除。换言之,这是一种握手机制。

      在sequence中,向sequencer发送transaction使用的是uvm_do宏。这个宏什么时候会返回呢?

       uvm_do宏产生了一个transaction并交给sequencer,driver取走这个transaction后,uvm_do并不会立刻返回执行下一次uvm_do宏,而是等待在那里,直到driver返回item_done信号。此时,uvm_do宏才算是执行完毕,返回后开始下一个uvm_do。

 

 

 

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员-用户IM,转载请注明出处:https://javaforall.cn/166826.html原文链接:https://javaforall.cn

【正版授权,激活自己账号】: Jetbrains全家桶Ide使用,1年售后保障,每天仅需1毛

【官方授权 正版激活】: 官方授权 正版激活 支持Jetbrains家族下所有IDE 使用个人JB账号...

(0)


相关推荐

  • 八数码问题求解「建议收藏」

    八数码问题求解「建议收藏」(一)问题描述在一个3*3的方棋盘上放置着1,2,3,4,5,6,7,8八个数码,每个数码占一格,且有一个空格。这些数码可以在棋盘上移动,其移动规则是:与空格相邻的数码方格可以移入空格。现在的问题是:对于指定的初始棋局和目标棋局,给出数码的移动序列。该问题称八数码难题或者重排九宫问题。(二)问题分析八数码问题是个典型的状态图搜索问题。搜索方式有两种基本的方式,即树式搜索和线式搜索。搜索策略大体有盲…

  • centos nmtui(centos7 nmtui配置)

    一般步骤:先编辑后激活,一步都不能少使用nmtui可以为新网卡添加连接,然后进行ip配置,也可以对已经存在且使用的网卡进行ip的直接设置…

  • jtl转换成html,Jmeter的jtl文件转换HTML报告[通俗易懂]

    jtl转换成html,Jmeter的jtl文件转换HTML报告[通俗易懂]Jmeter我们用做接口测试的时候使用可视化界面,可以通过查看结果树或者聚合报告来观看结果。但是,在工作中,这样的结果,往往也要成为你测试报告的一部分,傻的办法当然是截图,然后展示,这样的傻办法,我用了几次就不想再用,一次截图次数太多,让人看的眼花缭乱,不能很好的体现我们测试报告的准确性和说服力。做为一个,测试开发,就要来解决这样的问题,尽量把重复工作降低,提升工作效率。通过参考,我找到了Jmet…

    2022年10月29日
  • 黑盒测试用例设计之nextdate问题[通俗易懂]

    黑盒测试用例设计之nextdate问题[通俗易懂]首先已知有三个变量:月份,日期和年变量月份,日期和年都为整数,且都满足条件:1<=月份<=121<=日期<=311912<=年<=2012等价类划分法1.首先输入数据,划分等价类2.建立等价类表3.设计测试用例原型4.考虑隐含需求分为平年和闰年进行讨论,主要针对二月份。边界值分析法首先明晰三个定义:内点:范围内部的点上点:边界…

  • SQL中declare申明变量

    SQL中declare申明变量

    2021年11月16日
  • 【Java基础】异常?NullPointException?世界上怎么会存在这种东西?

    【Java基础】异常?NullPointException?世界上怎么会存在这种东西?异常太难顶了啊,简直是程序员的噩梦

发表回复

您的电子邮箱地址不会被公开。

关注全栈程序员社区公众号