Visual Studio 2008/2010中Xaml开发格式设置技巧

Visual Studio 2008/2010中Xaml开发格式设置技巧

在Silverlight和WPF项目开发中,经常要和Xaml语言打交道,大家都知道,一个清晰明了的开发语言布局可以让开发人员方便,快捷的阅读和理解代码,这里我介绍几个Xaml开发布局设计技巧。

 

这里我用Visual Studio 2010作为演示,首先打开VS2010,然后选中菜单栏 Tools -> Options -> Text Editor -> XAML ->

会看到以下效果:

Visual Studio 2008/2010中Xaml开发格式设置技巧

选中以上选项后,在代码方面会有如下显示效果,方便多属性控件阅读和理解,

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

 

如果选中“Position first attribute on same line as start tag”,会将分行属性按照第一行属性位置对齐显示,

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

设置后,代码输出是以下效果:

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

另外一种显示方式,选中“Insert a single space between attributes”,所有控件属性将会在一行显示,

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

代码输出显示如下:

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

 

最后一种显示方式,选中“Preserve newlines and spaces between attributes”,

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

代码输出显示如下:

 

Visual Studio 2008/2010中Xaml开发格式设置技巧

 

以上小技巧希望能帮到大家。

 

转载于:https://www.cnblogs.com/jv9/archive/2010/05/20/1739998.html

版权声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌侵权/违法违规的内容, 请发送邮件至 举报,一经查实,本站将立刻删除。

发布者:全栈程序员-用户IM,转载请注明出处:https://javaforall.cn/110772.html原文链接:https://javaforall.cn

【正版授权,激活自己账号】: Jetbrains全家桶Ide使用,1年售后保障,每天仅需1毛

【官方授权 正版激活】: 官方授权 正版激活 支持Jetbrains家族下所有IDE 使用个人JB账号...

(0)
blank

相关推荐

  • FPGA和CPLD的比较[通俗易懂]

    FPGA和CPLD的比较[通俗易懂]1FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。2CPLD更适合触发器有限而乘积丰富的结构,更适合完成复杂的组合逻辑;FPGA更适合于触发器丰富的结构,适合完成时序逻辑。3cpld连续式布线结构决定了他的时序均匀的可预测的,而fpga的分段式布线结构决定了其延时的不可预测性。cpld比fpga速度快。4在编程上fpga比cpld具有更大的灵活性。cpld通过修改具有固

  • JMH基准测试

    JMH基准测试一、基准测试 基准测试是什么 基准测试是指通过设计科学的测试方法、测试工具和测试系统,实现对一类测试对象的某项性能指标进行定量的和可对比的测试。 例如,对计算机CPU进行浮点运算、数据访问的带宽和延迟等指标的基准测试,可以使用户清楚地了解每一款CPU的运算性能及作业吞吐能力是否满足应用程序的要求 再如对数据库管理系统的ACID(Atomicity,Consistency,Isolation,Durability,原子性、一致性、独立性和持久性)、查询时

  • 哪些软件是python编写出来的_用Python编程需要什么软件?

    哪些软件是python编写出来的_用Python编程需要什么软件?用Python编程需要什么软件?Python编程是一门适合新手入门的编程语言,现在有不少程序员业余时间学习Python编程语言,学习Python找到好工具会大大提高学习的效率。好用的Python编程软件能将工作效率多倍速提升。今天小编就介绍一些Python编程软件供大家参考:一、终端:UptermUpterm简单好用,它是一个全平台的终端,可以说是终端里的IDE,有着强大的自动补全功能。二、交互式…

  • query指定范围提取数据_document.getelementbyid().赋值

    query指定范围提取数据_document.getelementbyid().赋值document.querySelector

    2022年10月31日
  • 什么是旁路由 用旁路由有什么好处 旁路由怎么设置

    什么是旁路由 用旁路由有什么好处 旁路由怎么设置什么是旁路由用旁路由有什么好处旁路由怎么设置时间:2019-11-2315:48:52/来源:你好多多DIY/作者:多多2019年11月23日更新(初次发布于2019年5月13日)用旁路由和接二级路由的区别和好处:(PS:很多人吐槽旁路由这个词语非官方术语,甚至争的脸红耳赤,其实我们根本不需要太在意这个,对于普通用户来说,我们只要知道这个东西是什么,怎么用就行,比如电脑,也可以叫微机、计算机、甚至PC,何必那么纠结呢)区别:二级路由跟主路由的设备不在同一个网段;与主路由兼容性较差

  • stm32f103波形发生器_示波器波形分析

    stm32f103波形发生器_示波器波形分析摘要本设计是基于STM32F103RCT6为主控核心的数字示波器的设计。包AD转换,波形处理,LCD液晶显示模块及外围按键,完成了简单的示波器功能,可以实时采样显示波形、振幅大小和…

    2022年10月15日

发表回复

您的电子邮箱地址不会被公开。

关注全栈程序员社区公众号